Fraunhofer IZM-ASSID

Brief Profile

Fraunhofer IZMs center »All Silicon System Integration Center Dresden – ASSID« was established in 2010 and is integral part of Fraunhofer IZMs wafer level system packaging and system integration.

Fraunhofer IZM-ASSID operates a state-of-the-art 300 mm process line for 3D wafer level system integration based on Cu-Through Silicon Via (Cu-TSV) technology.

Constituent parts of the line are individual process modules for TSV formation, for TVS post-processing as well as assembly and 3D stacking.

The process line allows an application oriented development of 3D wafer level processes as well as the qualification and prototype manufacturing for 3D wafer level System in Packages, CSP, e.g.

Fraunhofer IZM-ASSID is a member of Silicon Saxony e. V. and is closely cooperating with customer, equipment and material supplier as well as with several organizations, networks and cluster (e.g. EMC-3D, HIR, Sematech), especially in the field of 3D integration. Furthermore there exist close cooperation with Fraunhofer institutes and other scientific-technical institutions in the Free State of Saxony, e.g. Fraunhofer Cluster 3D Integration, High Performance Centre Functional Integration in Micro- and Nanoelectronics.   

In 2016 the IZM-ASSID received the prestigious 3D-InCites AWARD at the Semicon West in San Francisco, USA.

 

Services
 

The IZM-ASSID is developing application-specific integration solutions for microelectronic systems (System in Package – SiP) in the application field of Internet of Things (IoT) as well as others under the usage of Wafer Level Technology.

R&D services for customers from industry include process development, material evaluation and qualification, prototyping, low-volume manufacturing as well as process transfer. Newly developed and qualified technologies are individually adapted to customer-specific requirements.

The continuously implementation and evaluation of all technological processes and their interactions between frontend, backend and assembly allow a fast and qualified production of prototypes as well as subsequent optimization of small batch production.

Based on the technology portfolio IZM-ASSID offers qualified services for a wide range of customers also in the sector of small batch production.

The focus of scientific research and development work is on:

  • Heterogeneous wafer level system integration
  • 3D wafer level system in package (WL, SiP, CSP)
  • Active and passive interposer
  • High-density multi-layer redistribution
  • Ultra-fine pitch micro-bumping
  • Pre-assembly (thinning, singulation)
  • Die-to-wafer (D2W), wafer 2 wafer (W2W)-assembly
  • 3D wafer level stacking
  • Sensors 

Research Highlights

 

3D process technology for innovative system in package (3D-SiP) solutions

The integration density of active components is a key factor in the development of new products.

 

Process development for advanced direct oxide-oxide and Cu-Cu bonding (DBI)

The hybrid bonding technology is jointly developed by XPERI (Invensas) and IZM-ASSID on 300 mm wafer size.

 

Interposer with micro-fluidic-cooling

The maximum processor performance is limited by the heat removal efficiency. Therefore, a highly effective cooling technology as well as an innovative power management are the keys to increase the computing power.