Fraunhofer IZM-ASSID

Kurzporträt

Der Institutsteil »All Silicon System Integration Dresden – ASSID « des Fraunhofer IZM wurde im Jahre 2010 eröffnet und ist integraler Bestandteil der Wafer-Level-Systemintegrations-Aktivitäten des Fraunhofer IZM.

Das Fraunhofer IZM-ASSID verfügt über eine dem neusten Stand der Technik entsprechende 200-300 mm-Technologielinie für die 3D-Wafer-Level-Systemintegration auf der Basis der Kupfer-Through-Silicon-Via (Cu-TSV)-Technologie.

Die Prozesslinie am Fraunhofer IZM-ASSID ist insbesondere auf eine fertigungsnahe und industriekompatible Entwicklung und Prozessierung (ISO 9001) ausgelegt.

Bestandteile der Linie sind Prozessmodule für die TSV-Formierung, für das Post-TSV-Processing, das Pre-Assembly (Dünnen, Vereinzeln), die 3D Stack Formierung.

Die Konzeption der Linie erlaubt sowohl eine anwendungsbezogene Entwicklung von Prozessen als auch die Qualifikation und Prototypenfertigung für 3D-Wafer-Level-System System in Packages.

Das IZM-ASSID arbeitet eng mit Industriepartnern – Anwendern, Material- und Anlagenherstellern, Foundries – auf dem Gebiet der 3D-Systemintegration zusammen. Darüber hinaus bestehen enge Verknüpfungen innerhalb des Fraunhofer-Verbundes wie dem „Fraunhofer Cluster 3D Integration“, dem „Leistungszentrum Mikro-Nanoelektronik“ sowie Universitäten (TU Dresden, TU Berlin, TU Chemnitz) und den Partnern im Silicon Saxony e.V, um die gesamte Wertschöpfungskette für die Realisierung von Mikrosystemen vom Entwurf über die Prototypenfertigung bis zur Kleinserienfertigung einschließlich der Zuverlässigkeitsbewertung und Fehleranalyse abzudecken. International ist das ASSID eng verflochten mit Arbeitsgruppen im Bereich Wafer Level Integration, u.a. mit Heterogeneous Integration Roadmap - HTA, CPMT- IEEE, Sematech.

Dass ASSID erhielt 2016 den renommierten 3D-InCites AWARD auf der Semicon West in San Francisco, USA.


Leistungsangebot
 

Das IZM-ASSID entwickelt anwendungsspezifische Integrationslösungen für mikroelektronische Systeme (System in Package - SiP) im Umfeld vom Internet of Things (IoT) u.a.  unter Anwendung der Wafer Level Technologie.

Das Serviceangebot für Firmen umfasst die Bereiche Prozessentwicklung, Materialevaluierung und –qualifizierung, Prototyping, Low-Manufacturing sowie Prozesstransfer. Die entwickelten und qualifizierten Technologien werden kundenspezifisch an die individuellen Anforderungen angepasst.

Die durchgängige Umsetzung und Bewertung aller technologischen Prozesse und deren Wechselwirkungen zwischen Frontend, Backend und Montage erlauben eine schnelle und qualifizierte Herstellung von Prototypen und nachfolgende Optimierung der Kleinserienfertigung.

Das ASSID verfügt über ein Technologieportfolio, welches es auch ermöglicht, für Kunden qualifizierte Serviceleistungen bis in den Bereich von Kleinserienfertigung anzubieten.

Der Fokus der wissenschaftlichen Forschungs- und Entwicklungsarbeiten liegt im Bereich Technologien für:

  • Heterogene Wafer-Level-Systemintegration
  • 3D-Wafer.Level System-in-Package (WL, SiP, CSP)
  • Aktive und passive Interposer
  • High-Density Multi-layer Redistribution
  • Ultra-Fine Pitch Micro-Bumping
  • Pre-Assembly (Thinning, Singulation)
  • Die-to-Wafer (D2W), Wafer 2 wafer (W2W)-Assembly
  • 3D-Wafer-Level-Stacking
  • Silizium-Sensoren

Forschungshighlights

 

3D-Prozesstechnologie für innovative System-in-Package (3D-SiP)-Anwendungen

Die Integrationsdichte elektronischer Komponenten ist ein Schlüsselelement in der Produktentwicklung.

 

Prozessentwicklung für das direkte Oxid-Oxid und Cu-Cu-Bonding (DBI)

Die Technologie »Hybrid Wafer-Bonding« wurde in einer Kooperation zwischen XPERI (Invensas) und dem IZM-ASSID für 300 mm-Wafer entwickelt.

 

Interposer mit Micro-Fluidic-Cooling

Die Betriebstemperatur ist ein limitierender Faktor für die Rechenleistung von Prozessoren. Um dennoch eine zunehmende Rechenleistung zu ermöglichen, bedarf es einer effektiveren Kühlung und eines innovativen Energiemanagements.